This repository was archived by the owner on Nov 24, 2023. It is now read-only.
-
Notifications
You must be signed in to change notification settings - Fork 11
Commit
This commit does not belong to any branch on this repository, and may belong to a fork outside of the repository.
replace cv32e40p with cv32e41p in files (#5)
* change module and file name to match cv32e41p * update capital letters in docs * update makefile and waves Signed-off-by: Pasquale Davide Schiavone <[email protected]>
- Loading branch information
1 parent
3c95739
commit 82fd61f
Showing
72 changed files
with
573 additions
and
662 deletions.
There are no files selected for viewing
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
|
@@ -21,7 +21,7 @@ | |
//////////////////////////////////////////////////////////////////////////////// | ||
// Engineer: Arjan Bink - [email protected] // | ||
// // | ||
// Design Name: cv32e40p_apu_tracer.sv (APU trace) // | ||
// Design Name: cv32e41p_apu_tracer.sv (APU trace) // | ||
// Project Name: CV32E40P // | ||
// Language: SystemVerilog // | ||
// // | ||
|
@@ -30,14 +30,14 @@ | |
// - APU register file write address // | ||
// - APU register file write data // | ||
// // | ||
// Note: This code was here from cv32e40p_core.sv in order to // | ||
// Note: This code was here from cv32e41p_core.sv in order to // | ||
// remove the use of global defines in the RTL code. // | ||
// // | ||
//////////////////////////////////////////////////////////////////////////////// | ||
|
||
`ifdef CV32E40P_APU_TRACE | ||
|
||
module cv32e40p_apu_tracer ( | ||
module cv32e41p_apu_tracer ( | ||
input logic clk_i, | ||
input logic rst_n, | ||
input logic [31:0] hart_id_i, | ||
|
@@ -74,6 +74,6 @@ module cv32e40p_apu_tracer ( | |
$fclose(apu_trace); | ||
end | ||
|
||
endmodule // cv32e40p_apu_tracer | ||
endmodule // cv32e41p_apu_tracer | ||
|
||
`endif // CV32E40P_APU_TRACE |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
|
@@ -21,7 +21,7 @@ | |
//////////////////////////////////////////////////////////////////////////////// | ||
// Engineer: Arjan Bink - [email protected] // | ||
// // | ||
// Design Name: cv32e40p_core_log.sv (cv32e40p_core simulation log) // | ||
// Design Name: cv32e41p_core_log.sv (cv32e41p_core simulation log) // | ||
// Project Name: CV32E40P // | ||
// Language: SystemVerilog // | ||
// // | ||
|
@@ -30,13 +30,13 @@ | |
// - top level parameter settings // | ||
// - illegal instructions // | ||
// // | ||
// Note: This code was here from cv32e40p_core.sv and // | ||
// cv32e40p_controller.sv in order to remove the use of // | ||
// Note: This code was here from cv32e41p_core.sv and // | ||
// cv32e41p_controller.sv in order to remove the use of // | ||
// global defines in the RTL code. // | ||
// // | ||
//////////////////////////////////////////////////////////////////////////////// | ||
|
||
module cv32e40p_core_log #( | ||
module cv32e41p_core_log #( | ||
parameter PULP_XPULP = 1, // PULP ISA Extension (incl. custom CSRs and hardware loop, excl. p.elw) !!! HARDWARE LOOP IS NOT OPERATIONAL YET !!! | ||
parameter PULP_CLUSTER = 0, // PULP Cluster interface (incl. p.elw) | ||
parameter FPU = 0, // Floating Point Unit (interfaced via APU interface) | ||
|
@@ -53,7 +53,7 @@ module cv32e40p_core_log #( | |
// Log top level parameter values | ||
initial begin | ||
$display( | ||
"[cv32e40p_core]: PULP_XPULP = %d, PULP_CLUSTER = %d, FPU %d, PULP_ZFINX %d, NUM_MHPMCOUNTERS %d", | ||
"[cv32e41p_core]: PULP_XPULP = %d, PULP_CLUSTER = %d, FPU %d, PULP_ZFINX %d, NUM_MHPMCOUNTERS %d", | ||
PULP_XPULP, PULP_CLUSTER, FPU, PULP_ZFINX, NUM_MHPMCOUNTERS); | ||
end | ||
|
||
|
@@ -65,4 +65,4 @@ module cv32e40p_core_log #( | |
end | ||
end | ||
|
||
endmodule // cv32e40p_core_log | ||
endmodule // cv32e41p_core_log |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
|
@@ -21,7 +21,7 @@ | |
//////////////////////////////////////////////////////////////////////////////// | ||
// Engineer: Steve Richmond - [email protected] // | ||
// // | ||
// Design Name: cv32e40p_tracer data structures // | ||
// Design Name: cv32e41p_tracer data structures // | ||
// Project Name: CV32E40P // | ||
// Language: SystemVerilog // | ||
// // | ||
|
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
|
@@ -8,25 +8,25 @@ | |
// CONDITIONS OF ANY KIND, either express or implied. See the License for the | ||
// specific language governing permissions and limitations under the License. | ||
|
||
// Wrapper for a cv32e40p, containing cv32e40p, and tracer | ||
// Wrapper for a cv32e41p, containing cv32e41p, and tracer | ||
// Contributor: Davide Schiavone <[email protected]> | ||
|
||
`ifdef CV32E40P_ASSERT_ON | ||
`include "cv32e40p_prefetch_controller_sva.sv" | ||
`include "cv32e41p_prefetch_controller_sva.sv" | ||
`endif | ||
|
||
`include "cv32e40p_core_log.sv" | ||
`include "cv32e41p_core_log.sv" | ||
|
||
`ifdef CV32E40P_APU_TRACE | ||
`include "cv32e40p_apu_tracer.sv" | ||
`include "cv32e41p_apu_tracer.sv" | ||
`endif | ||
|
||
`ifdef CV32E40P_TRACE_EXECUTION | ||
`include "cv32e40p_tracer.sv" | ||
`include "cv32e41p_tracer.sv" | ||
`endif | ||
|
||
module cv32e40p_wrapper | ||
import cv32e40p_apu_core_pkg::*; | ||
module cv32e41p_wrapper | ||
import cv32e41p_apu_core_pkg::*; | ||
#( | ||
parameter PULP_XPULP = 0, // PULP ISA Extension (incl. custom CSRs and hardware loop, excl. p.elw) | ||
parameter PULP_CLUSTER = 0, // PULP Cluster interface (incl. p.elw) | ||
|
@@ -97,9 +97,9 @@ module cv32e40p_wrapper | |
`ifdef CV32E40P_ASSERT_ON | ||
|
||
// RTL Assertions | ||
bind cv32e40p_prefetch_controller: | ||
bind cv32e41p_prefetch_controller: | ||
core_i.if_stage_i.prefetch_buffer_i.prefetch_controller_i | ||
cv32e40p_prefetch_controller_sva | ||
cv32e41p_prefetch_controller_sva | ||
#( | ||
.DEPTH (DEPTH), | ||
.PULP_XPULP (PULP_XPULP), | ||
|
@@ -109,7 +109,7 @@ module cv32e40p_wrapper | |
|
||
`endif // CV32E40P_ASSERT_ON | ||
|
||
cv32e40p_core_log #( | ||
cv32e41p_core_log #( | ||
.PULP_XPULP (PULP_XPULP), | ||
.PULP_CLUSTER (PULP_CLUSTER), | ||
.FPU (FPU), | ||
|
@@ -124,7 +124,7 @@ module cv32e40p_wrapper | |
); | ||
|
||
`ifdef CV32E40P_APU_TRACE | ||
cv32e40p_apu_tracer apu_tracer_i ( | ||
cv32e41p_apu_tracer apu_tracer_i ( | ||
.clk_i (core_i.rst_ni), | ||
.rst_n (core_i.clk_i), | ||
.hart_id_i (core_i.hart_id_i), | ||
|
@@ -135,7 +135,7 @@ module cv32e40p_wrapper | |
`endif | ||
|
||
`ifdef CV32E40P_TRACE_EXECUTION | ||
cv32e40p_tracer tracer_i ( | ||
cv32e41p_tracer tracer_i ( | ||
.clk_i(core_i.clk_i), // always-running clock for tracing | ||
.rst_n(core_i.rst_ni), | ||
|
||
|
@@ -199,7 +199,7 @@ module cv32e40p_wrapper | |
`endif | ||
|
||
// instantiate the core | ||
cv32e40p_core #( | ||
cv32e41p_core #( | ||
.PULP_XPULP (PULP_XPULP), | ||
.PULP_CLUSTER (PULP_CLUSTER), | ||
.FPU (FPU), | ||
|
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
File renamed without changes.
This file was deleted.
Oops, something went wrong.
Oops, something went wrong.