Skip to content
This repository was archived by the owner on Nov 24, 2023. It is now read-only.

Commit

Permalink
replace cv32e40p with cv32e41p in files (#5)
Browse files Browse the repository at this point in the history
* change module and file name to match cv32e41p

* update capital letters in docs

* update makefile and waves

Signed-off-by: Pasquale Davide Schiavone <[email protected]>
  • Loading branch information
davideschiavone authored Jun 23, 2021
1 parent 3c95739 commit 82fd61f
Show file tree
Hide file tree
Showing 72 changed files with 573 additions and 662 deletions.
56 changes: 28 additions & 28 deletions Bender.yml
Original file line number Diff line number Diff line change
Expand Up @@ -24,37 +24,37 @@ sources:
include_dirs:
- rtl/include
files:
- rtl/include/cv32e40p_apu_core_pkg.sv
- rtl/include/cv32e40p_pkg.sv
- rtl/cv32e40p_alu.sv
- rtl/cv32e40p_alu_div.sv
- rtl/cv32e40p_aligner.sv
- rtl/cv32e40p_compressed_decoder.sv
- rtl/cv32e40p_controller.sv
- rtl/cv32e40p_cs_registers.sv
- rtl/cv32e40p_decoder.sv
- rtl/cv32e40p_int_controller.sv
- rtl/cv32e40p_ex_stage.sv
- rtl/cv32e40p_hwloop_controller.sv
- rtl/cv32e40p_hwloop_regs.sv
- rtl/cv32e40p_id_stage.sv
- rtl/cv32e40p_if_stage.sv
- rtl/cv32e40p_load_store_unit.sv
- rtl/cv32e40p_mult.sv
- rtl/cv32e40p_prefetch_buffer.sv
- rtl/cv32e40p_obi_interface.sv
- rtl/cv32e40p_core.sv
- rtl/cv32e40p_apu_disp.sv
- rtl/cv32e40p_fetch_fifo.sv
- rtl/cv32e40p_popcnt.sv
- rtl/cv32e40p_ff_one.sv
- rtl/cv32e40p_sleep_unit.sv
- rtl/include/cv32e41p_apu_core_pkg.sv
- rtl/include/cv32e41p_pkg.sv
- rtl/cv32e41p_alu.sv
- rtl/cv32e41p_alu_div.sv
- rtl/cv32e41p_aligner.sv
- rtl/cv32e41p_compressed_decoder.sv
- rtl/cv32e41p_controller.sv
- rtl/cv32e41p_cs_registers.sv
- rtl/cv32e41p_decoder.sv
- rtl/cv32e41p_int_controller.sv
- rtl/cv32e41p_ex_stage.sv
- rtl/cv32e41p_hwloop_controller.sv
- rtl/cv32e41p_hwloop_regs.sv
- rtl/cv32e41p_id_stage.sv
- rtl/cv32e41p_if_stage.sv
- rtl/cv32e41p_load_store_unit.sv
- rtl/cv32e41p_mult.sv
- rtl/cv32e41p_prefetch_buffer.sv
- rtl/cv32e41p_obi_interface.sv
- rtl/cv32e41p_core.sv
- rtl/cv32e41p_apu_disp.sv
- rtl/cv32e41p_fetch_fifo.sv
- rtl/cv32e41p_popcnt.sv
- rtl/cv32e41p_ff_one.sv
- rtl/cv32e41p_sleep_unit.sv
- target: asic
files:
- rtl/cv32e40p_register_file_latch.sv
- rtl/cv32e41p_register_file_latch.sv
- target: not(asic)
files:
- rtl/cv32e40p_register_file_ff.sv
- rtl/cv32e41p_register_file_ff.sv
- target: rtl
files:
- bhv/cv32e40p_sim_clock_gate.sv
- bhv/cv32e41p_sim_clock_gate.sv
8 changes: 4 additions & 4 deletions bhv/cv32e40p_apu_tracer.sv → bhv/cv32e41p_apu_tracer.sv
Original file line number Diff line number Diff line change
Expand Up @@ -21,7 +21,7 @@
////////////////////////////////////////////////////////////////////////////////
// Engineer: Arjan Bink - [email protected] //
// //
// Design Name: cv32e40p_apu_tracer.sv (APU trace) //
// Design Name: cv32e41p_apu_tracer.sv (APU trace) //
// Project Name: CV32E40P //
// Language: SystemVerilog //
// //
Expand All @@ -30,14 +30,14 @@
// - APU register file write address //
// - APU register file write data //
// //
// Note: This code was here from cv32e40p_core.sv in order to //
// Note: This code was here from cv32e41p_core.sv in order to //
// remove the use of global defines in the RTL code. //
// //
////////////////////////////////////////////////////////////////////////////////

`ifdef CV32E40P_APU_TRACE

module cv32e40p_apu_tracer (
module cv32e41p_apu_tracer (
input logic clk_i,
input logic rst_n,
input logic [31:0] hart_id_i,
Expand Down Expand Up @@ -74,6 +74,6 @@ module cv32e40p_apu_tracer (
$fclose(apu_trace);
end

endmodule // cv32e40p_apu_tracer
endmodule // cv32e41p_apu_tracer

`endif // CV32E40P_APU_TRACE
12 changes: 6 additions & 6 deletions bhv/cv32e40p_core_log.sv → bhv/cv32e41p_core_log.sv
Original file line number Diff line number Diff line change
Expand Up @@ -21,7 +21,7 @@
////////////////////////////////////////////////////////////////////////////////
// Engineer: Arjan Bink - [email protected] //
// //
// Design Name: cv32e40p_core_log.sv (cv32e40p_core simulation log) //
// Design Name: cv32e41p_core_log.sv (cv32e41p_core simulation log) //
// Project Name: CV32E40P //
// Language: SystemVerilog //
// //
Expand All @@ -30,13 +30,13 @@
// - top level parameter settings //
// - illegal instructions //
// //
// Note: This code was here from cv32e40p_core.sv and //
// cv32e40p_controller.sv in order to remove the use of //
// Note: This code was here from cv32e41p_core.sv and //
// cv32e41p_controller.sv in order to remove the use of //
// global defines in the RTL code. //
// //
////////////////////////////////////////////////////////////////////////////////

module cv32e40p_core_log #(
module cv32e41p_core_log #(
parameter PULP_XPULP = 1, // PULP ISA Extension (incl. custom CSRs and hardware loop, excl. p.elw) !!! HARDWARE LOOP IS NOT OPERATIONAL YET !!!
parameter PULP_CLUSTER = 0, // PULP Cluster interface (incl. p.elw)
parameter FPU = 0, // Floating Point Unit (interfaced via APU interface)
Expand All @@ -53,7 +53,7 @@ module cv32e40p_core_log #(
// Log top level parameter values
initial begin
$display(
"[cv32e40p_core]: PULP_XPULP = %d, PULP_CLUSTER = %d, FPU %d, PULP_ZFINX %d, NUM_MHPMCOUNTERS %d",
"[cv32e41p_core]: PULP_XPULP = %d, PULP_CLUSTER = %d, FPU %d, PULP_ZFINX %d, NUM_MHPMCOUNTERS %d",
PULP_XPULP, PULP_CLUSTER, FPU, PULP_ZFINX, NUM_MHPMCOUNTERS);
end

Expand All @@ -65,4 +65,4 @@ module cv32e40p_core_log #(
end
end

endmodule // cv32e40p_core_log
endmodule // cv32e41p_core_log
Original file line number Diff line number Diff line change
Expand Up @@ -21,7 +21,7 @@
////////////////////////////////////////////////////////////////////////////////
// Engineer: Steve Richmond - [email protected] //
// //
// Design Name: cv32e40p_tracer data structures //
// Design Name: cv32e41p_tracer data structures //
// Project Name: CV32E40P //
// Language: SystemVerilog //
// //
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -8,11 +8,11 @@
// CONDITIONS OF ANY KIND, either express or implied. See the License for the
// specific language governing permissions and limitations under the License.

// !!! cv32e40p_sim_clock_gate file is meant for simulation only !!!
// !!! cv32e41p_sim_clock_gate file is meant for simulation only !!!
// !!! It must not be used for ASIC synthesis !!!
// !!! It must not be used for FPGA synthesis !!!

module cv32e40p_clock_gate (
module cv32e41p_clock_gate (
input logic clk_i,
input logic en_i,
input logic scan_cg_en_i,
Expand All @@ -27,4 +27,4 @@ module cv32e40p_clock_gate (

assign clk_o = clk_i & clk_en;

endmodule // cv32e40p_clock_gate
endmodule // cv32e41p_clock_gate
10 changes: 5 additions & 5 deletions bhv/cv32e40p_tracer.sv → bhv/cv32e41p_tracer.sv
Original file line number Diff line number Diff line change
Expand Up @@ -26,8 +26,8 @@

`include "uvm_macros.svh"

module cv32e40p_tracer
import cv32e40p_pkg::*;
module cv32e41p_tracer
import cv32e41p_pkg::*;
import uvm_pkg::*;
(
// Clock and Reset
Expand Down Expand Up @@ -96,7 +96,7 @@ module cv32e40p_tracer
input logic [ 4:0] imm_clip_type
);

import cv32e40p_tracer_pkg::*;
import cv32e41p_tracer_pkg::*;

// Make clock a bit to avoid x->0 transitions in tracer logic
bit clk_i_d;
Expand All @@ -115,7 +115,7 @@ module cv32e40p_tracer
logic [31:0] pc_wb_delay_stage;
logic [31:0] pc_retire_head_q;

`include "cv32e40p_instr_trace.svh"
`include "cv32e41p_instr_trace.svh"

string info_tag = "TRACER";

Expand Down Expand Up @@ -469,7 +469,7 @@ module cv32e40p_tracer
end
end

endmodule : cv32e40p_tracer
endmodule : cv32e41p_tracer


`endif // CV32E40P_TRACE_EXECUTION
26 changes: 13 additions & 13 deletions bhv/cv32e40p_wrapper.sv → bhv/cv32e41p_wrapper.sv
Original file line number Diff line number Diff line change
Expand Up @@ -8,25 +8,25 @@
// CONDITIONS OF ANY KIND, either express or implied. See the License for the
// specific language governing permissions and limitations under the License.

// Wrapper for a cv32e40p, containing cv32e40p, and tracer
// Wrapper for a cv32e41p, containing cv32e41p, and tracer
// Contributor: Davide Schiavone <[email protected]>

`ifdef CV32E40P_ASSERT_ON
`include "cv32e40p_prefetch_controller_sva.sv"
`include "cv32e41p_prefetch_controller_sva.sv"
`endif

`include "cv32e40p_core_log.sv"
`include "cv32e41p_core_log.sv"

`ifdef CV32E40P_APU_TRACE
`include "cv32e40p_apu_tracer.sv"
`include "cv32e41p_apu_tracer.sv"
`endif

`ifdef CV32E40P_TRACE_EXECUTION
`include "cv32e40p_tracer.sv"
`include "cv32e41p_tracer.sv"
`endif

module cv32e40p_wrapper
import cv32e40p_apu_core_pkg::*;
module cv32e41p_wrapper
import cv32e41p_apu_core_pkg::*;
#(
parameter PULP_XPULP = 0, // PULP ISA Extension (incl. custom CSRs and hardware loop, excl. p.elw)
parameter PULP_CLUSTER = 0, // PULP Cluster interface (incl. p.elw)
Expand Down Expand Up @@ -97,9 +97,9 @@ module cv32e40p_wrapper
`ifdef CV32E40P_ASSERT_ON

// RTL Assertions
bind cv32e40p_prefetch_controller:
bind cv32e41p_prefetch_controller:
core_i.if_stage_i.prefetch_buffer_i.prefetch_controller_i
cv32e40p_prefetch_controller_sva
cv32e41p_prefetch_controller_sva
#(
.DEPTH (DEPTH),
.PULP_XPULP (PULP_XPULP),
Expand All @@ -109,7 +109,7 @@ module cv32e40p_wrapper

`endif // CV32E40P_ASSERT_ON

cv32e40p_core_log #(
cv32e41p_core_log #(
.PULP_XPULP (PULP_XPULP),
.PULP_CLUSTER (PULP_CLUSTER),
.FPU (FPU),
Expand All @@ -124,7 +124,7 @@ module cv32e40p_wrapper
);

`ifdef CV32E40P_APU_TRACE
cv32e40p_apu_tracer apu_tracer_i (
cv32e41p_apu_tracer apu_tracer_i (
.clk_i (core_i.rst_ni),
.rst_n (core_i.clk_i),
.hart_id_i (core_i.hart_id_i),
Expand All @@ -135,7 +135,7 @@ module cv32e40p_wrapper
`endif

`ifdef CV32E40P_TRACE_EXECUTION
cv32e40p_tracer tracer_i (
cv32e41p_tracer tracer_i (
.clk_i(core_i.clk_i), // always-running clock for tracing
.rst_n(core_i.rst_ni),

Expand Down Expand Up @@ -199,7 +199,7 @@ module cv32e40p_wrapper
`endif

// instantiate the core
cv32e40p_core #(
cv32e41p_core #(
.PULP_XPULP (PULP_XPULP),
.PULP_CLUSTER (PULP_CLUSTER),
.FPU (FPU),
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -9,8 +9,8 @@
// specific language governing permissions and limitations under the License.


package cv32e40p_tracer_pkg;
import cv32e40p_pkg::*;
package cv32e41p_tracer_pkg;
import cv32e41p_pkg::*;

// settings
parameter bit SymbolicRegs = 0; // show abi names for registers
Expand Down
File renamed without changes.
60 changes: 0 additions & 60 deletions cv32e40p_manifest.flist

This file was deleted.

Loading

0 comments on commit 82fd61f

Please sign in to comment.